Welcome![Sign In][Sign Up]
Location:
Search - vhdl code for square wave Generator

Search list

[SCMwave-generator

Description: 产生方波,三角波,正弦波,余弦波等波形,并且可以自由选择和切换,最后可以用于波形输出-Have a square wave, triangle wave, sine wave, cosine wave, such as waveform, and can freely choose and switch, and finally can be used for waveform output
Platform: | Size: 6144 | Author: 周易 | Hits:

[VHDL-FPGA-Verilogsqr

Description: VHDL CODE FOR SQUARE WAVE GENERATOR
Platform: | Size: 707584 | Author: pinky | Hits:

CodeBus www.codebus.net